Upload BOM
LOCATION : HOME > NEWS > INDUSTRY NEWS

What changes will High-NA EUV lithography opportunities bring to the semiconductor industry?

Starting from the early deep ultraviolet lithography machines (DUV), the stable and reliable performance of lithography machines has laid a solid foundation for the development of the semiconductor industry.

Later, the extreme ultraviolet lithography machine (EUV) successfully pushed lithography to a new height with its unique extreme ultraviolet light source and shorter wavelength.

Today's high numerical aperture lithography machine (High-NA) has officially entered the semiconductor field, further improving the accuracy and efficiency of lithography and making it possible to manufacture smaller and more precise chips.

ASML’s official website shows that it has assembled two TWINSCAN EXE:5000 high numerical aperture lithography systems. One of them is jointly developed by ASML and imec and will be installed in the joint laboratory of ASML and imec in 2024. It is expected to be put into mass production in 2025.

The other one was ordered by Intel in 2018. In December 2023, ASML officially delivered the first High-NA EUV lithography system to Intel - the first batch of templates for TWINSCAN EXE:5000

From the Internet

Unboxing of the first High-NA EUA lithography machine

In January this year, the main components of ASML's first High-NA EUV lithography machine arrived at Intel. Then in early March, Intel shared a video showing the installation and debugging of ASML's engineering team at Intel's D1X factory in Oregon, USA. part of screen

ASML spokesperson Monique Mols said during a media tour held by the company that the installation of the 150,000 kilogram system took a total of six months and required 250 containers and 250 engineers. Once assembled, the machine will stand three stories tall, requiring Intel to build a new (taller) factory expansion to accommodate it. It is estimated that the price of each such High-NA EUV lithography machine may be between US$300 million and US$400 million.

It is worth noting that Intel is also the first company in the industry to order the TWINSCAN EXE:5200 lithography machine. The order will be placed in January 2022.

According to ASML's roadmap, the first-generation High-NA EUV lithography machine TWINSCAN EXE:5000 may be mainly used by wafer manufacturers for related experiments and tests, so that the company can better understand the use of High-NA EUV equipment and obtain Valuable experience. Actual mass production will rely on TWINSCAN EXE:5200 shipped at the end of 2024

Why do you need a High-NA lithography machine?

DUV moves towards EUV

In the DUV generation, scientists have been studying to push DUV lithography technology to its limits. In order to reduce the size of the smallest feature that can be photolithographed (called the critical dimension (CD)), two main parameters can be adjusted: the wavelength of light λ and the numerical aperture NA

Lithography resolution (R) is mainly determined by three factors, namely the wavelength of light (λ), the sine value (sinθ) of the maximum angle that light can pass through the lens (lens aperture angle half angle θ), and the refractive index (n) And related to the coefficient k1. In addition to lithography resolution, the depth of focus (DOF) is also crucial. A large depth of focus can increase the clear range of etching and improve the quality of lithography. The depth of focus can also improve the refraction of the system. rate(n) to improve

However, there is not much room left in today’s DUV systems to adjust these parameters.

Entering the EUV generation, EUV lithography is able to make significant adjustments to wavelength parameters: it uses 13.5nm light, while the highest resolution DUV systems use 193nm light. When the first pre-production EUV lithography platform, NXE, first shipped in 2010, its CD dropped from over 30nm for DUV to 13nm for EUV

In addition, the EUV lithography machine not only adjusts the wavelength parameters, but also has core technologies such as light source system, optical lens, and dual workbench system. The combination of these technologies enables the EUV lithography machine to achieve efficient projection lithography and process any damage without damage. graphics

EUV moves towards High-NA EUV

ASML's current EUV tool has a numerical aperture of 0.33, which enables resolution around 13.5nm. With a single exposure, it can produce a minimum metal spacing of 26nm and an approximate interconnect space spacing of 25-30nm tip-to-tip. These dimensions are sufficient Meet the production needs of the 4/5nm node process. Still, the industry still needs 3nm process technology with smaller 21-24nm pitches, which is why TSMC's N3B process technology is designed to use standard EUV double patterning technology to achieve smaller pitches, but this method will be quite expensive

After changing the wavelength, to further improve the resolution of the EUV lithography machine, we must start with the NA indicator.

What needs to be explained is: "NA" is the numerical aperture of the optical system, which represents the incident angle of light. Using a larger NA lens can print smaller structures. The current EUV lithography machine still uses an NA=0.33 objective lens. system, the next generation target is optical systems with NA=0.5 and above.

Therefore, High-NA came into being. The numerical aperture of the first High-NA EUV system currently delivered by ASML has been increased from 0.33 of traditional EUV to 0.55, and the resolution has also been increased from 13.5nm to 8nm. It can achieve a minimum metal spacing of 16nm, which will be very important for process nodes below 2nm. it works. According to Imec’s estimates, this High-NA EUV system can improve solutions even for 1nm node technology.

In addition, in terms of production efficiency, the HIGH-NA EUV system can lithograph more than 185 wafers per hour, which is an increase compared with EUV systems already used in high-volume manufacturing.

ASML has also developed a roadmap to increase the production efficiency of the new generation High-NA EUV system (EXE:5200) to 220 wafers per hour by 2025

From the Internet

What does High-NA EUV lithography machine mean for Intel?

High-NA EUV is considered to be a key device that can reduce process complexity and production costs, and is a key device for manufacturing cutting-edge processes at 2nm and below. High-NA not only requires new optical devices, but also new light source materials, such as an optical system composed of polished, ultra-smooth curved mirrors manufactured in a vacuum by Germany's Zeiss. It even requires new and larger factories to accommodate this. machinery, which will require significant investment

Even so, in order to maintain the advantages of semiconductor performance, power, area and cost (PPAc), already leading manufacturers such as TSMC, Samsung, Intel, SK Hynix and other world's leading logic chip and memory chip manufacturers, Competition has begun to take the lead and get more of ASML's most advanced lithography machines. As early as 2020~2021, ASML stated that it had received High-NA intention orders from three customers and provided up to 12 systems.

Intel being the first to obtain this equipment will undoubtedly greatly improve its chip manufacturing capabilities and efficiency, and help Intel gain a first-mover advantage in future competition in advanced process technology. By using this advanced lithography technology, Intel can produce 2nm and smaller, faster chips, thereby gaining a greater competitive advantage in the market. In addition, as chip manufacturing processes shrink, Intel can further reduce production costs and improve product competitiveness.

Additionally, Intel will be ahead of its competitors in high numerical aperture learning, which will give it several advantages. Specifically, since Intel is likely to be the first company to launch high-volume production using high numerical aperture tools, fab tooling systems will inevitably follow its requirements

At present, Intel has completed the development of Intel 18A (1.8nm) and Intel 20A (2nm) manufacturing processes. Intel 20A is scheduled to be put into use in the first half of 2024, and the Intel 18A manufacturing technology that is making good progress will also be entered into the second half of 2024. high volume manufacturing

This shows that Intel is confident in the application of High-NA EUV technology and plans to apply this technology to its main chip production in the next few years. High-NA EUV lithography technology can bring lower production costs and higher product competitiveness to Intel

Based on the above content, Intel's acquisition of the world's first High-NA EUV lithography machine not only marks a major progress for the company in the field of semiconductor manufacturing, but also demonstrates its determination and ability to promote the development of advanced lithography technology

ASML’s High-NA EUV lithography machine output

At present, ASML has received orders for High-NA EUV lithography machines from companies such as Intel and SK Hynix, with the number ranging from 10 to 20 units. At the same time, ASML plans to produce 20 High-NA EUV lithography machines per year by 2028 to meet market demand.

According to a report from an industry consulting firm, ASML will produce up to 10 new generation High-NA EUV extreme ultraviolet lithography machines in 2024, of which Intel has ordered up to 6 units.

Samsung is also actively seeking to obtain High-NA EUV. In June 2022, Samsung Electronics and ASML reached an agreement on the purchase of high numerical aperture EUV. In February this year, Samsung Electronics and Dutch equipment giant ASML once again announced that they would jointly invest in the establishment of a semiconductor advanced process R&D center in South Korea and plan to introduce High-NA EUV equipment from 2027.

Samsung’s cooperation with ASML demonstrates its ambitions in the field of semiconductor technology. By jointly developing and introducing High-NA EUV equipment, Samsung will be able to further improve its chip manufacturing process and gain a greater competitive advantage in the global semiconductor market. It is worth noting that the introduction and application of High-NA EUV equipment also faces challenges, including high equipment prices, yield issues, and technical difficulties in the production process.

Samsung's researcher in charge of memory production once said: What users are most concerned about is the total cost issue. Previously, Low-NA has been put into use. Compared with High-NA EUV, chip manufacturers may be more willing to use the more economically feasible Low-NA EUV to double the cost. Exposed or supplemented by advanced packaging technology. Therefore, High-NA EUV may be more conducive to logic chip manufacturing, and memory faces cost issues.

In contrast, TSMC is not eager to adopt high numerical aperture EUV in the short term. Industry analysts pointed out that the main reasons why TSMC has not followed up on these technologies for the time being are:

The cost of using high numerical aperture EUV may be higher than using low-NA EUV, at least initially, although the cost of lower cost is lower density of produced transistors.

Hyper-NA EUV is an important change in the next decade

Recently, ASML also disclosed the progress of its future more advanced Hyper-NA EUV technology in its 2023 annual report

ASML's annual report shows that Hyper-NA lithography exposure equipment with an NA value higher than 0.7 is undoubtedly an opportunity to develop chip production technology, and will begin to be applied around 2030.

Hyper-NA microlithography equipment is expected to be probably most relevant for logic chips and will provide a more affordable solution than High-NA microlithography equipment. The key for ASML is that Hyper-NA is driving the overall EUV development platform to improve costs and delivery times

In the future, as the process technology continues to advance, after entering the 1nm process node, the metal spacing of the transistors will need to become smaller. By then, wafer manufacturers will need more sophisticated tools than High-NA EUV lithography machines, which is why ASML plans to develop a Hyper NA EUV lithography machine with a higher numerical aperture.

Increasing the numerical aperture of projection optics is a costly decision that involves significant changes in the design of the lithography exposure equipment. In particular, this includes the physical size of the machine and the need to develop many new components, as well as the added cost factor. ASML recently revealed that the Standard Numerical Aperture EUV Twinscan NEX is priced at approximately $183 million, while the High-NA EUV Twinscan EXE is priced at approximately $380 million or more.

As the cost of the next Hyper-NA lithography exposure equipment is expected to be higher, ASML must solve two problems:

First, whether Hyper-NA lithography exposure equipment can be technically realized

The second is whether it is cost-affordable for leading logic chip manufacturers.

There are currently only three leading logic chip manufacturers left in the world:

Intel, Samsung and TSMC

Japan is Rapidus yet to develop into a capable competitor. So while Hyper-NA EUV lithography equipment is needed, it must be affordable

Whether Hyper-NA lithography exposure equipment can be imported will depend on how far ASML can reduce costs. However, after ASML discussed the necessity and feasibility of Hyper-NA EUV lithography exposure equipment with customers, the technical conditions for customers to use Hyper-NA EUV lithography exposure equipment to mass-produce logic chips and memory chips already exist, which is expected to Will be an important change in the semiconductor industry in the next decade